FPGA中如何实现除法?

摘自:《xilinx FPGA 开发实用教程》

1)被除数重复的减去除数,直到检测到余数小于除数为止,优点:对于除数与被除数相差较小的情况下合适

2)通过如下图片方式实现+状态机。优点:挺好的自己用硬件实现的方法

3)通过FPGA自带的DSP实现,即直接使用"/",优点:速度快

4)用FPGA自带的IP核

原文地址:https://www.cnblogs.com/qidaiymm/p/5575514.html