Error (10309): VHDL Interface Declaration error in keyboard.vhd(63): interface object "scan_code" of mode out cannot be read. Change object mode to bu

entity中定义为:
scan_code : out STD_LOGIC_VECTOR(15 DOWNTO 0);

architecture中说明:

scan_code(15 downto 8) <= scan_code(7 downto 0);
scan_code(7 downto 0) <= SHIFTIN(7 DOWNTO 0);

将OUT 改成 BUFFER 即可

原文地址:https://www.cnblogs.com/artestlove/p/3679131.html