saleae逻辑分析仪-串口

安装软件
下载:https://www.saleae.com

连线
逻辑分析仪CHx分别连接UART的rx和tx

配置Logic
选择串口
1

设置波特率
2

还可以自定义显示方式
3

打开串口助手
1

波特率和Logic设置
输入字母a
取消自动换行
勾选定时发送

点击开始
1
波形、数据正常(左边是低位,带“·”的是数据位)

说明书https://download.csdn.net/download/zhangxuechao_/11253632

原文地址:https://www.cnblogs.com/zhangxuechao/p/11709509.html