UVM宏

1、注册宏

// 注册object类
`uvm_object_utils(类名)
`uvm_object_parm_utils(类名)
`uvm_object_utils_begin(类名)

// 注册component类
`uvm_component_utils(类名)
`uvm_component_parm_utils(类名)
`uvm_component_utils_begin(类名)

// 注册域,实现field automatic
`uvm_field_xxx(变量名,UVM_ALL_ON)

2、回调宏

// 注册宏,其实是添加了一个静态变量(factory注册是添加了一个type_id的类型成员)
`uvm_register_cb(调用类名,被调用类名)

// 调用宏
`uvm_do_callbacks(调用类名, 被调用类名, 被调用函数/任务名(参数列表))
原文地址:https://www.cnblogs.com/yuandonghua/p/11981821.html