xilinx调用modelsim时出错

刚刚配置好xilinx环境,运行第一个代码,结果编译的时候提示“

# ** Error: (vcom-19) Failed to access library 'unisim' at "D:/Model/win32xoem/../xilinx/vhdl/unisim".
# No such file or directory.

反正自己不会,就上网查了一下,下面给出查到的解决方案:

在modelsim里file菜单下new中选library,将名字改为unisim

这个时候面板上可以看到unisim这个库

然后选择compile菜单中的compile,弹出一个窗口,最上面是选库,把他选成unisim,下面查找范围选择xilinx文件夹下的unisims文件夹,不同版本的xilinx这个文件夹的路径略有不同,可以通过搜索找到。8.2i的是在vhdl\src下。

然后这时候你可以看见4个文件,unisim_SMODEL.vhd、unisim_VCOMP.vhd、unisim_VITAL.vhd、unisim_VPKG.vhd。依次双击。如果显示有错误,责说明点的顺序有误,换一个文件再试。直到当4个文件都compile结束以后,选择done。
这时候你可以在modelsim文件夹下的example文件夹中看到unisim文件夹,把这个文件夹copy到你的工程里,就OK了。

下面给出链接: http://zhidao.baidu.com/question/74138542.html&__bd_tkn__=5ca3475d3a2499274a6dab23acb17eb6d6039fefa17b6bd00dbbfd4a2ce08fc32e669c33ebe18f6372e17e11a1fbf821d9f524bc2c


好了,希望能帮助的需要的人,哈哈。晚安!
原文地址:https://www.cnblogs.com/xubenben/p/2757918.html