2017.0307.数字电路与系统-组合电路的模块分析

1.编码器-译码器,数据选择器(多路低速传输信号合成一路高速信号)-数据分析器,数值比较器(输出至少有三个),奇偶检验(检验位的产生,还要能检验),算术运算(全加,全减),算术逻辑单元。这些都是组合电路中的需要掌握的模块。

2.组合逻辑电路的分析:根据逻辑图→写表达式→做出真值表→由真值表总结功能。根据逻辑图写表达式,是逐级来写,最后进行组合。

设计:根据逻辑描述→总结真值表(功能)→表达式→变换相应的表达式→画出逻辑图。

在模块分析的过程中,分析和设计都要存在。

3.编码器:将输入信号转变成相应的二进制编码,用代码来表达相应的输入信号。将一系列的输入信号进行了有序的编码。编码器有多输入(这里的多输入是指多个输入端),多输出,但在某一时刻,只有一个输入(这是指有效的那一个输入端的输入)会被转换成二进制代码(这是编码器的设计原则,所以无论是互斥输入编码,还是优先编码,都是只对一个有效输入编码)。根据编码器的设计原则,只有两种编码器:互斥输入编码器,优先级编码器(是对互斥输入的改进,目的,抗干扰)。

4.编码器的有效电平可以是高的,也可以是低的。这和正逻辑,负逻辑的关系?两者不一样,负逻辑是说0为高,1为低。编码器谈论的是谁有效,而不是谁高谁低。

5.互斥输入编码器,4线-2线编码器,4个输入,有4行,这和我们一般看到的n个输入,2n个输入行完全不同,原因在于有众多约束项,这也是编码器的设计原则所限制的。其他的输入看成任意项,约束项?(其他输入不允许)互斥输入编码器的输出端逻辑表达式写的很简单,既没有通过公式法(最小项表达式法),也没有通过卡诺图法。一眼看出函数表达式的原因是什么?

左边四个输入端,右边两个输出端,这是最理想的设计,和实际的器件情况不吻合,还有更多的问题没有考虑,比如:没有输入信号时,该怎么表示?

6.4线-2线的逻辑图怎么表示?

7.为什么互斥输入编码器可以直接通过功能表看出来?

仔细观察功能表,有四个输入端,两个编码输出端,一个标记输出端。目前,书本上只介绍了一种最为简单的4线-2线互斥输入编码器。两个编码端的函数表达式很是简单,以往的最小项表达公式法,都是与项式,写出所有的函数值为1的最小项,但是互斥输入编码器中包含众多约束条件,每一次所有的输入中只有一个有效(高电平或低电平有效),因此,不用写成众多最小项的或式,写成单个变量(输入)的或式即可。标记端的函数为所有输入端的或式,当所有输入端都为无效输入,那么标记端输出0,只要有一个输出为高电平有效,标记端输出就为1.

 优先编码器部分

1.优先编码器开始也是介绍一个4线-2线的简答装置,高电平有效(这有效是对编码而言的,只有出现符合条件的有效电平,编码输出端才会编码。)从I0到I3优先级越来越高,由于优先编码器的设计规则,所有的输入均成立,只是存在优先级不同。当优先级高的输入出现有效电平时,后面就会出现无关项。

互斥输入编码器的功能表的出现是由于约束项,而优先编码器的功能表的出现是由于无关项。约束项和无关项是不同的概念?

2.优先编码器根据功能表直接写出三个输出端的表达式,比互斥输入编码器的书写稍显复杂。因为存在优先级的大小,要使输入端为有效电平,必须使高一级的输入端为无效输入电平。

此处缺一张功能表。

Y1=I3+I2I3'=I3+I2 这两个式子虽然能达到一样的效果,但是逻辑图是不一样的,我们看第一个式子I3+I2I3' 这里强调I3'为反变量,即取值为0(在I2为有效高电平时),这两个部分代表的意思是完全不相交的,一个表示I3为有效高电平,另一个是当I3为无效电平时,I2为有效高电平,两者是独立的。第二个式子,I3+I2,I2表示I2取1时,其他任何输入怎样的电平的Y1都是1,这里会有两种情况:I3为1或者0,当I3为0时,是不冲突的,但是I3为1时,又回到了I3+I2的I3部分,相当于两者有重合,并不是独立的,但依然能表示出所有的Y1为1的情况。Y1为1的两个情况是I3和I2 优先级相邻,换到Y0的表达式就不一样了。

Y0=I3+I1I2'I3'=I3+I1I2' 这个表达式和Y1不同之处在于输出端为1的两种情况隔着一个0,所以要添加一个I2'避开I2的优先的级,不用避开I3是因为I3本身就属于表达式。

此处还有一点需要说明的是,虽然我们无法借助最小项法来做。但是我们还是可以借助卡诺图来进行的。

对于互斥输入编码器,每个输入端的卡诺图内有三种输出,0-1-约束项,在用方格群来简化表达式时,约束项可以看成1也可以是0,一般是1,基本上方格群都是以八个小方格为一圈来简化。同样的,对于优先编码器,我们将卡诺图填完整,虽然有很多任意项,但是由它们带来的输出是确定的,所以也是可以轻松地进行简化,这里没有要求一定要是八个方格集群。

3.简单的4线-2线互斥输入编码器和4线-2线优先编码器,都有四个输入,两个输出编码端和一个输出标记端(OGS)。还要注意一点的是,输入,输出端的下标都是从0开始,这类似于二进制编码的顺序。相较于简单的4线-2线优先编码器,更常见的是74148优先编码器,以及由74148优先编码器组合成更大的编码器。这种74148优先编码器具有八个输入端,三个个输出端,同样还有一个辅助输入端,两个辅助输出端。

原文地址:https://www.cnblogs.com/wsw-bk/p/6513283.html