[转]Verilog 中 define parameter localparam的区别

`define:可以跨模块的定义;

parameter:本module内有效的定义,可用于参数传递;

localparam:本module内有效的定义,不可用于参数传递;localparam cannot be used within the module port parameter list.

所以,一般情况下,状态机的参数都是用localparam的。

原文地址:https://www.cnblogs.com/shengansong/p/2582812.html