altera小实验——SignalTap II 使用指导

SignalTap II内置逻辑分析仪是quartus ii开发过程中必要的工具,用于抓取工程运行中实际产生的信号。这与modelsim不同,modelsim属于功能验证,是“理论上”的波形,而signaltap ii抓取的真实的波形(当然也不能保证全对!),是随着码流烧录进FPGA然后综合处一块区域为逻辑分析仪。


1.建立工程并编译。首先当然已经完成工程了,需要对波形进行抓取检测。

2.创建一个新的STP文件。在File菜单中选择New,在弹出的界面中选择Other Files 一栏,再选择SignalTapII File,点击OK。或者在菜单里建立再保存也可以。


初始界面如下。


3.STP文件中添加实例(Instance)。在图中Instance窗口中点击右建,选择Create  Instance。默认情况下,新建的STP文件中有一个默认名为auto_signaltap_0Instance,可以右键rename下。


4.在新建Instance中添加观测节点(Nodes)。在Edit菜单中选择Add notes(或者在信号那一大片区域处双击鼠标),弹的Nodes  Finder界面,在Named一栏中填入节点名称,可以用*号通配符;点击List按钮后的箭头,在其中选取信号类型,之后点击list按钮,Nodes Found窗口中将列出查找到的信号,双击以选取所需信号。用同样的方法加入其它所需信号,完成后点击OK。


5.设置信号。设置信号为比较关键的一步,尤其要注意触发条件。

Type:信号类型,自动
Alias:别名,手打
Name:信号名称
Data enable:数据使能
Trigger enable:触发使能
Trigger conditions:触发要满足的条件,右键可查看
Basic AND:这些触发条件之间的关系,是同时满足还是只要有一个满足就可以


6.采样时钟

clock为采样信号,用这个信号来抓取波形,一般选取系统中最快的信号(当然有更合适的也可以,这个也受制于采样深度和实际采样信号)。

sample depth为采样深度,这决定了能采集信号的时间,同时也决定了综合出来的逻辑分析仪所占资源。太大了会报错,板子上资源会不足。

Trigger:
Pre:存放的波形中,有12%是触发条件满足前的波形,88%是触发条件满 足后的波形
Center:触发条件满足前后的波形各占一半
Post:与Pre相反,88%是触发条件满足前的波形,有12%是触发条件满 足后的波形。



7.与信号来源有关


8.开始抓取信号。首先烧程序下板子,这里通过SignalTap II 界面下板子,和之前不一样,看界面右上角。

Hardware:选取烧写途径
Device:选取设备
Sof:选取SOF文件

然后点击蓝色按钮下载~


9.切换至data界面


10.选取要抓取的脚本,点击run analysis


11.等待触发,得到波形


原文地址:https://www.cnblogs.com/mingmingruyue99/p/7202010.html