算术右移verilog实现

32位算术右移操作的描述是将寄存器的32位数据右移,左侧移位后空出的bit位用bit[31]填充。

《自己动手写CPU》一书中,对于openMIPS算术右移指令SRA的verilog实现如下:

shiftres[31:0] = ( {32{reg2_i[31]}} << ( 6'd32 - {1'b0, reg1_i[4:0]} ) ) | ( reg2_i >> reg1_i[4:0] ) ;

其中reg2_i[31:0]是需要进行移位操作的32位寄存器,reg1_i[4:0]保存了右移操作的位数。

这里面用到了减法运算符,不知道综合器是不是可以智能地用普通LUT单元就实现,而不必占用加法器。

为了避免考验综合器的能力,可以将算法修改如下:

shiftres[31:0] =  ( { {31{reg2_i}}, 1'b0 } << (~reg1_i[4:0]) ) | ( reg2_i >> reg1_i[4:0] ) ;

使用取反的方式避免加减等运算符,算是讨了个巧,这个算法用modelsim验证过没有什么问题。

有空再做个综合后的资源占比,看看厂商的综合器够不够智能。

原文地址:https://www.cnblogs.com/mcdeggy/p/7495642.html