vivado License导入方法与资源获取

前言


以下安装说明基于已经正确安装vivado
笔者操作环境:linux  vivado版本:2015.2


vivado License导入方法:

  1. 点击菜单栏【Help】,选择【Manage License...】
  2. 点击左侧【Get Licence】下的【Load License】
  3. 点击右侧的【Copy License...】,选择许可证文件进行加载
  4. 完成许可证导入

vivado License资源:

方法一:
资源链接:vivado_lic2037.lic


方法二:
将下列字符串保存到文本文档中,并重命名为【vivado_lic2037.lic】

INCREMENT VIVADO_HLS xilinxd 2037.05 permanent uncounted AF3E86892AA2 
	VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER="Xilinx 
	Inc" START=19-May-2016 TS_OK
INCREMENT Vivado_System_Edition xilinxd 2037.05 permanent uncounted 
	A1074C37F742 VENDOR_STRING=License_Type:Bought HOSTID=ANY 
	ISSUER="Xilinx Inc" START=19-May-2016 TS_OK
PACKAGE Vivado_System_Edition xilinxd 2037.05 DFF4A65E0A68 
	COMPONENTS="ISIM ChipScopePro_SIOTK PlanAhead ChipscopePro XPS 
	ISE HLS_Synthesis AccelDSP Vivado Rodin_Synthesis 
	Rodin_Implementation Rodin_SystemBuilder 
	PartialReconfiguration AUTOESL_FLOW AUTOESL_CC AUTOESL_OPT 
	AUTOESL_SC AUTOESL_XILINX petalinux_arch_ppc 
	petalinux_arch_microblaze petalinux_arch_zynq ap_sdsoc SDK 
	SysGen Simulation Implementation Analyzer HLS Synthesis 
	VIVADO_HLS" OPTIONS=SUITE


# 2037年之前的任何Vivado版本(包括HLS、AccelDSP、System Generator、软硬CPU、SOC、嵌入式Linux、重配置等等功能)都是永久使用。使用本license文件时要改名,文件名不能有汉字和空格。
原文地址:https://www.cnblogs.com/maskerk/p/7350182.html