Verdi 查看二维数组波形

Verdi 查看二维数组波形

调试代码时经常需要查看memory内的数据是否正确,一个一个读出来检查又特别麻烦,不做特别设置的话fsdb不会记录二维数组的值。
只需要在顶层加入

$fsdbDumpMDA();

verdi里就可以拉二维数组的信号查看了。

原文地址:https://www.cnblogs.com/lyc-seu/p/12520739.html