Quartus 12007 Toplevel design entity '' '' is undefined 异常原因

        好久没有用FPGA了,最近重新使用FPGA练习下数字电源。可第一个Bug就花了我1个小时,惭愧ing。

        为了以后自己能长个记性,也为了帮助学弟学妹们少走弯路。因此,将这个问题记录下来。


        过程:

               使用Quattus 软件,自己建立工程并导入别家开发板例程的Led.V文件。

       现象:

    首次编译,出现下面的错误提示,添加该文件后再次编译,仍然报错。

    

       可能原因:

    1、没有定义Led.v文件

    2、工程里面包含了Led.v文件。但是,该文件的模块名不是文件名

       反思:

               我检查了好几次,明明建的工程文件里面包含了“Led.v”文件,可实际编译还是这个错误提示。

               最后检查了好久,才发现是导入的其他家的例程,模块名与文件名对不上。 

              这可能是与C语言编程的差异吧,C语言报错undefined,在文件里面定义一个头文件或者函数名就解决这个现象了。

原文地址:https://www.cnblogs.com/cjyc/p/15782268.html