初学激励仿真·

最近看Verilog HDL数字设计与综合·经常能看到给出了激励块的程序,以前用verilog仿真都是用的激励波形,一直听说过激励文件,可惜始终没有开始学,安装了moselsim后开始学习简单的仿真,犯了一些可笑的小错误后终于弄出了仿真波形·可是时间还是不对·

   仿真截止时间设置的是#400 $finish;  可是结果只给出了

让我百思不得其解,仔细看过程序后·发现可能是时间精度的问题

`timescale 1ns/1ns  ,都换成`timescale 1ps/1ps  后能得到正确的结果 ·。

原文地址:https://www.cnblogs.com/cheetah/p/2244976.html