FPGA学习笔记之QuartusII中的优化设置

在学习FPGA中,对工具的使用的依赖性感觉还是很大的。那么在quartusII中,可以在多个阶段对设计进行优化.我使用的版本为11.1(这个版本怎么感觉不稳定呢?总是会突然的出现violation而需要重新启动)

  一般都会在assignment/settings中进行设置

1.全局优化:

 

在assignment/settings/如图所示中,physical synthesis optimization 中,有如图所示的几个选项:

    (1)optimize for performance(性能优化)

        perform physical synthesis for combinational logic 对组合逻辑进行优化

        perform register retiming  对寄存器进行优化

    (2)effort level  级别

      相应的不同的级别的不同之处在后面的解释中说明,主要体现在了编译时间的多少,当然与提高的性能之间呈反比的关系

    (3) fitter netlist optimization   布局布线网表优化

        第一个选项是对异步信号自动的添加流水线

       第二个选项执行寄存器的复用

    (4) optimize for fitting 布局布线的优化

        第一个选项是针对组合逻辑电路而言

      第二个选项 对逻辑和寄存器进行优化

 2.综合优化(见下图设置)

在优化技巧(optimization technique)中有三种选择:即: 速度优先,平衡优先,面积优先,还有powerplay power optimization即功率优化

若选择extra effort,综合器将进行功率优化,但可能会降低整个设计的性能

3.适配优化

 

包括保持时序优化 多拐角时序优化

保持时序优化允许适配器通过在合适的路径中添加延迟,从而实现保持时序的优化,关闭该选项,则不会对任何路径进行优化

多拐角时序优化用于控制适配器是否对设计进行优化以满足所有拐角的时序要求和操作条件。要使用这项功能,必须使能时序逻辑优化

4.还可以使用优化向导

具体设置见上图中

从advisors的下拉中可以看到有多个优化向导,可以自此点进去资源优化,时序优化,功耗向导等。具体的不再说明.看英文说明很容易明白

这个世界不会怜悯那些被屠宰者,而会尊敬那些一直在战斗着的人们
原文地址:https://www.cnblogs.com/zxqwolf/p/2863007.html