[转帖]如何在Quartus II 里使用Modelsim(从Quartus中导出testbench为modelsim用)

来源:http://www.cnblogs.com/emouse/archive/2012/07/08/2581223.html

Quartus II调用modelsim无缝仿真

来源:http://bbs.ednchina.com/BLOG_ARTICLE_1988820.HTM

问题:Quartus II 无法调用Modelsim?

原因:没有设置Modelsim的路径。Quartus II 软件中 tools->Options,在Category中选择EDA Tools Options 中的EDA Tool中选中Modelsim,在Location of Executable中设置路径。为Modlesim的安装路径,modelsim.exe的文件所在。

来源:http://apps.hi.baidu.com/share/detail/31238266

百度文库:http://wenku.baidu.com/view/9b20ceb069dc5022aaea00df.html

1. 目的
当我们使用QuartusII,但是大多数朋友都习惯用Modelsim SE来做仿真,由于Quaruts有很多本身器件的特色,所以造成了在仿真上的麻烦,当然网路上也有一些讲解,但是都是不太系统,特别是对初学者来说,使用的时候还是感到一头雾水。 本文的目的就是一个如何在Quartus 使用Quartus 和Modelsim 仿真的例子。

2.建立QuartusII 工程。
这里目的只是建立一个很简单很简单的QuartusII 的工程,逻辑采用原理图方式绘制。

里面就是一个简单的DFF,
输入信号:
datain , clk
输出信号:
dataout

3.Quartus II 内部时序仿真
在Quartus 内部使用时序仿真,很简单, 如果不知道如何使用请参考帮助文件
帮助文件的你可以 点击 Help-> Tutorial 。里面有很详细的如何创建一个Wave仿真文件的教程这里就不罗嗦了。

关于如何仿真这里也不废话了。我们进入核心问题。
4.Modelsim SE的仿真
4.1 生成TestBench 文件
由于我们上面已经制作了一个时序仿真文件 *.vwf .Quartus II 提供了把这样文件转换成Testbench 的功能。
具体的操作如下:
在工程菜单里面双击*.vwf 文件, 是之打开成为当前激活文件。
然后点击菜单 Files-> Export
会直接打开一个输出框:
我们后面会使用Verilog文件进行仿真,所以这里把后缀名*;.vt 改成为*.V
Ok 就生成了 TestBeanch 文件。

4.2 设置启动Modelsim
如何在Quartus II 里面使用Modelsim. 只需要在 Assignment->setting
里面选择如下的选项,在进行整个工程进行编译的时候会自动调用系统的Modelsim SE .
在编译最后会调用Modelsim .点击Work 你可以看见关于Altera 的库文件都编译进来了。
 
下面是重点 :
新建一个工程文件。 然后会弹出如下图
选择Use Current Ini
选择添加文件:
1. Testbeanch 文件,到工程里面
2 选择Testbeanch 模块 :
仿真模块为XXX_vlg_check_tst.
原文地址:https://www.cnblogs.com/zlh840/p/2102502.html