test_sine正弦函数发生部分(三角波,锯齿波,方波方法相同)任意函数波形发生器之一


 这个程序用过很多次,但都是vhdl的,没有见到verilog的版本,所以我就把它转过来了,想了很久,今天花了半天的时间,实际上还是有很多问题都没有搞清楚。先把程序贴上来,verilog和vhdl的,便于做比较。

verilog:


Code


VHDL:


Code

这样一比较就很容易看出问题 ,在verilog里面就没有很明显的写出q输出的语句。我今天就是卡在了这里,而对比vhdl,
u1 : data_rom port map(address => q1, q => dout , inclock => clk);
这一句话就说的很明显。而在verilog中,
sine u0 (
.clock(clk),
.q(q),
.address(count)
);

在这里将U0例化,而在后面的count的累加中。就直接可以得到了,就是所输出的Q。

上面的这些就是我的理解。可能不是很全面。欢迎大家指正,共同讨论。

原文地址:https://www.cnblogs.com/zhongnanshan/p/1399393.html