timescale

  `timescale 1ns/100ps  
   表示时延单位为1ns, 时延精度为100ps。`timescale 编译器指令在模块说明外部出现, 并且影响后面所有的时延值。

原文地址:https://www.cnblogs.com/zhongguo135/p/6362997.html