I/O Planning

 

同一个BANK的电压必须是一样的,而电气特性则可以不同。

最近GTX调不出来,原来是电平不对

电源的影响

示波器看电源纹波

VCCO是为BANK的IO输出供电.比如LVCMOS33的信号,这个BANK就要接3.3V. VREF是为某些特定的信号电平标准提供参考电压,比如DDR,DDR2等. 如果没有用上这些电平标准VREF一般可以做普通IO口

GSM 和DCS通过一个输入引脚切换来实现,当输入引脚为高电平时为高电平时为GSM,当输入电平为低电平时为DCS。最近发现两台DCS机子却只有20M,看输入引脚也是断开的(断开表示低电平,拉到VCC则为高电平),但是人为去拉高或拉低该引脚又确实会造成DCS与GSM之间的切换。

看到资料上说:每个BANK都有一对VRP/VRN管脚,VRN通过一个参考电阻R上拉到Vcco,VRP通过一个参考电阻R下拉到地。VRP/VRN管脚提供一个参考电压供DCI内部电路使用

VCCAUX:辅助电路电源引脚

VCCINT:内部核心逻辑电源引脚

VBATT:RAM内存备份电源。一旦VCCAUX应用了,VBATT可以不接;如果KEY RAM没有使用,推荐把VBATT接到VCCAUX或者GND,也可以不接。

7.GTP 引脚

MGTAVCC:收发器混合信号电路电源引脚

MGTAVTTTX,MGTAVTTRX:发送,接收电路电源引脚

MGTAVTTRCAL:电阻校正电路电源引脚

MGTAVCCPLL0,MGTAVCCPLL1:锁相环电源引脚

MGTREFCLK0/1P,MGTREFCLK0/1N:差分时钟正负引脚

MGTRREF:内部校准终端的精密参考电阻引脚

MGTRXP[1:0],MGTRXN[1:0]:差分接收端口

MGTTXP[1:0],MGTTXN[1:0]:差分发送端口

VREF_#:N/A,这些是输入临界电压引脚。当外部的临界电压不必要时,他可以作为

普通引脚。当做作bank内参考电压时,所有的VRef都必须被接上。

CMOS电平断开是处于亚稳态,可高可低,所以并不可靠。

差分对是怎样自动配对的?

注意大小写是有区别的

注意这样的写法有错误的,因为9与后面的 ‘]’之间有空格

set_property PACKAGE_PIN A20  [get_ports {NET_LOS_SFP[9 ]}]

差分对约束

make_diff_pair_ports MGT_REC_CLK_P MGT_REC_CLK_N

set_property direction IN [get_ports {PLL_LD_G_Up}]

set_property DRIVE 12 [get_ports PLL_LD_C_Down]     

set_property SLEW SLOW [get_ports PLL_LD_C_Down]    

set_property PULLDOWN true [get_ports PLL_LD_C_Down]

set_property PACKAGE_PIN V22 [get_ports PLL_LD_C_Down]   

set_property IOSTANDARD LVCMOS25 [get_ports PLL_LD_G_Down]

High Performance(HP) bank:最大电压是1.8V,满足一些高速存储器和片到片的高速接口

High-Range(HR) Bank 电压范围是3.3V,用于支持各种I/O标准

原文地址:https://www.cnblogs.com/zhongguo135/p/5916711.html