vcs(UST)Undefined System Task Call

转载:VCS求助啊 - 微波EDA网 (mweda.com)

Error-[UST] Undefined System Task Call
../../path/bench/path.v, 51
Undefined System Task call to '$fsdbDumpfile'.

Error-[UST] Undefined System Task Call
../../path/bench/path.v, 52
Undefined System Task call to '$fsdbDumpvars'.

我现在遇上以上问题,感觉是VCS没有做好,但是破解已经可以了,因为license可以给DVE和DC用,但就不知道哪里出错了,

答:

没有加verdi的pli

原文地址:https://www.cnblogs.com/zhiminyu/p/14797273.html