modelsim保存仿真结果,以及打开保存的仿真结果的波形wlf文件(经过实验,真实可用)

1、在wave界面,将仿真波形保存为 .do文件(信号列表文件)。

2、切换左边任务栏至“sim”,,点击保存,给将要保存的 .wlf文件(波形文件)命名为自己想要的名字,默认为vsim.wlf。

(wlf文件为modelsim的wave log file文件格式)

3、保存,OK,关闭modelsim(注意,必须关闭modelsim,否则打开wlf文件的时候,会提示未正常关闭,无法打开)。

4、重启modelsim,open 之前保存的 .wlf文件,然后 load 对应的 .do文件。

也可以使用命令行的格式打开此波形文件,举例如下:vsim -view wave.wlf -do run.do。

原文地址:https://www.cnblogs.com/zeushuang/p/2546005.html