Chisel Tutorial(一)——Chisel介绍

Chisel是由伯克利大学公布的一种开源硬件构建语言,建立在Scala语言之上,是Scala特定领域语言的一个应用,具有高度參数化的生成器(highly parameterized generators),能够支持高级硬件设计。


其特点例如以下,部分特点找不到合适的中文表述。临时没有翻译,哪位童靴有合适的翻译能够及时说说啊。

  • Hardware construction language (not C to Gates):硬件构建语言
  • Embedded in the Scala programming language:内嵌于Scale程序设计语言
  • Algebraic construction and wiring
  • Abstract data types and interfaces:抽象的数据类型和接口
  • Bulk connections:端口的批量连接
  • Hierarchical + object oriented + functional construction:分层+面向对象+函数构建
  • Highly parameterizable using metaprogramming in Scala:通过使用Scala的元编程实现高度的參数化
  • Supports layering of domain specific languages
  • Sizeable standard library including floating-point units:具有包括浮点单元在内的、可以调整大小的标准库
  • Multiple clock domains:支持多时钟域
  • Generates high-speed C++-based cycle-accurate software simulator:可以产生快速的、基于C++的周期精确软件模拟器
  • Generates low-level Verilog designed to pass on to standard ASIC or FPGA tools:可以产生Verilog设计,从而在标准的ASIC、FPGA工具中使用
  • Open source on github with modified BSD license:在github上开源,使用的是改进的BSD协议
  • Complete set of docs:完好的文档
  • Growing community of adopters:不断增长的社区
当中红色的是认为自己翻译不好的,同学们踊跃建议。

原文地址:https://www.cnblogs.com/yutingliuyl/p/7094432.html