verdi查看二维数组

我自己的经验是只要在top中加入:$fsdbDumpMDA(); 即可

原文地址:https://www.cnblogs.com/yiyedada/p/12481998.html