DRC Mode

TetraMax DRC

1.read netlist, run_build_model期间

N rules ——netlist  (N2和N5 warning要重视,可以将其设置为error:set_rules n5 error)

B rules ——build  (B5 error,可通过report_modules -undefined查看)

2.run_drc期间

S rules ——scan chain or shift

C rules ——clocks or capture

Z rules ——internal tristate buses and bidirectional pins

X rules ——combinational feedback loops

V rules——vector statements in the SPF

3.其他

P rules ——Path delay checks

Drules ——DFT violations

R rules ——Scan Compression violations

4.补充

-- run_drc后可通过report_rule把所有违例显示出来

-- 通过report_violation查看违例

-- 通过analyze_violation分析违例

-- 还可通过图形界面trace

原文地址:https://www.cnblogs.com/yilia-er/p/14242014.html