uvm verbosity

`uvm_info((),UVM_LOW);

其中,verbosity level:(摘抄自https://blog.csdn.net/sxlwzl/article/details/41180285)
304 typedef enum305 {306 UVM_NONE = 0,
307 UVM_LOW = 100,
308 UVM_MEDIUM = 200,
309 UVM_HIGH = 300,
310 UVM_FULL = 400,
311 UVM_DEBUG = 500
312 } uvm_verbosity;

原文地址:https://www.cnblogs.com/yanli0302/p/10937038.html