fifo 实现问题

AR# 63960

FIFO Generator v12.0 - [Common 17-55] 'get_property' expects at least one object.[axis_fifo_32x16_clocks.xdc]

 

Description

I am using the FIFO Generator, and receive the following Critical Warning during implementation, and when I open a Synthesized design.

This occurs in the example design as well.

[Common 17-55] 'get_property' expects at least one object.[axis_fifo_32x16_clocks.xdc]

What can cause this problem and how can I avoid it?

Solution

This is a known issue in FIFO Generator.

The Critical Warning is caused by the following constraints:

set wr_clock [get_clocks -of_objects [get_ports s_aclk]]
set rd_clock [get_clocks -of_objects [get_ports m_aclk]]

The constraints expect clocks signals in the get_clocks command.

You will need to create clocks for them before you use get_clocks.

For example:

create_clock -period 10.000 -name s_aclk -waveform {2.000 7.000} [get_ports s_aclk]
create_clock -period 20.000 -name m_aclk -waveform {2.000 12.000} [get_ports m_aclk]

After this, you can double check whether they are considered clock signals by using following command:

report_clocks

Then reset all of the runs and the issue will be solved.

原文地址:https://www.cnblogs.com/xiaoxuesheng993/p/9376526.html