logical exclusive 与 physical exclusive 的区别

数字电路中一般会有多个clock,这些clock 相互之间有些是同步的,需要做 timing check 的,有些是异步的,不需要做 timing check 的,还有些是互斥的,需要 exclude 掉的,这些关系就需要在 sdc 中声明出来,告诉工具哪些需要 check, 哪些不需要。

sdc 中的命令 set_clock_group 就是用来声明 clock 之间的关系,具体用法如下:

1. 异步时钟,不做 timing check 

比如在下图这个电路中,如果 C1 和 C2 这两个 clock 是异步的,那么一般是不需要 check 这条 path 的,

此时就可以用如下命令来告诉工具:

set_clock_group -asynchronous  -group C1 -group C2

2. logical exclusive 

如果一个电路中有两个 clock, 但是有一个选择信号控制这两个 clock,如下图:

这样的两个 clock 是应该声明成 logical exclusive 的,命令如下:

create_clock -period 10 -name C1 -waveform {0 5} [get_ports C1]
create_clock -period 20 -name C2 -waveform {0 12} [get_ports C2]
set_clock_groups -logically_exclusive -group C1 -group C2

3. physical exclusive 

如果两个 clock 定义在同一个端口上,那么这两个 clock 在物理层面就是不可能同时存在的,此时就需要声明成 physical exclusive 

比如在如下电路中,由于 C1 C2 在 F3 F4 之间是有交互的,而在 F1 F2 之间,只能是 C1-C1 或者 C2-C2,不可能出现 C1-C2 或 C2-C1 

这时就需要在 MUX 的输出端创建两个 generated clock,然后声明成 physical exclusive 

create_clock -period 10 -name C1 -waveform {0 5  } [get_ports C1]
create_clock -period 20 -name C2 -waveform {0 12} [get_ports C2]
create_generated_clock
-name GC1 -divide_by 1 -source [get_pins mux1/A] [get_pins mux1/Z] -combinational create_generated_clock -name GC2 -divide_by 1 -source [get_pins mux1/B] [get_pins mux1/Z] -combinational -add set_clock_groups -physically_exclusive -group GC1 -group GC2

所以 logical exclusive 与 physical exclusive 的区别就是:

如果两个 clock 同时存在,且有一个选择端控制这两个信号,那么它们就是 logical exclusive

如果两个 clock 不可能同时出现在电路中(比如定义在同一个点上),那么它们就是 physical exclusive 

Question:

在第二个图中,如果把 C1 C2 声明成 physical exclusive,会有什么问题?

虽然 logical exclusive 和 physical exclusive 都可以保证 C1 C2 之间不做 timing check,

但是 logical exclusive 情况下,工具会计算 C1 C2 之间的 crosstalk,而在 physical exclusive 情况下,则不会计算 crosstalk

在第二个图中,C1 C2 有各自单独的走线,它们之间的是有可能出现 crosstalk 的,所以不能声明成 physical exclusive

最后附上一本教材中对 logical exclusive 和 physical exclusive 区别的解释:

|------------------------------------------|

 

原文地址:https://www.cnblogs.com/xiaoxie2014/p/12532777.html