Can't launch the ModelSim-Altera software

quartus ii 10.0(32bit)和modelsim-altera 6.5e

Error: Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified path.

Tools -> Options -> General -> EDA Tool Options:將ModelSim-Altera的路徑設定到c:altera72modelsim_aewin32aloem.

oo的博客也有详细说明:http://www.cnblogs.com/oomusou/archive/2008/07/07/quartus72_modelsim_altera_61g_error.html

原文地址:https://www.cnblogs.com/winkle/p/3228279.html