hi3861 hispark GDB openOCD 调试器仿真器在vscode中如何使用呢?

hi3861 hispark GDB openOCD 调试器仿真器在vscode中如何使用呢?

驱动也是安装好了的。

驱动也是安装好了的。

配置文件是不是不对呢?

一大堆错误

Starting server:
D:Program FilesSEGGERJLinkJLinkGDBServerCL.exe -singlerun -if JTAG -select USB -device RISC-V -port 3333
SEGGER J-Link GDB Server V7.52a Command Line Version

JLinkARM.dll V7.52a (DLL compiled Jul 28 2021 11:12:51)

Command line: -singlerun -if JTAG -select USB -device RISC-V -port 3333
-----GDB Server start settings-----
GDBInit file: none
GDB Server Listening port: 3333
SWO raw output listening port: 2332
Terminal I/O port: 2333
Accept remote connection: localhost only
Generate logfile: off
Verify download: off
Init regs on start: off
Silent mode: off
Single run mode: on
Target connection timeout: 0 ms
------J-Link related settings------
J-Link Host interface: USB
J-Link script: none
J-Link settings file: none
------Target related settings------
Target device: RISC-V
Target interface: JTAG
Target interface speed: 4000kHz
Target endian: little

Connecting to J-Link...
Connecting to J-Link failed. Connected correctly?
GDBServer will be closed...
Shutting down...
Could not connect to J-Link.
Please check power, connection and settings.Error: Debug server return code 4294967289
终端进程“c:users xwtech.deveco-device-toolcoredeveco-venvscriptshos.exe 'debug', '--interface=server', '--environment=hi3861'”已终止,退出代码: 1。

终端将被任务重用,按任意键关闭。

E:software_packagehi3861hisparkopenOCDopenocd>binopenocd.exe -f interfaceHi-ft2232d-ftdi.cfg -f targetHi3861L-RISCV-JTAG
Open On-Chip Debugger 0.10.0+dev-snapshot (2020-10-23-10:32)
Licensed under GNU GPL v2
For bug reports, read
        http://openocd.org/doc/doxygen/bugs.html
adapter speed: 500 kHz
Info : clock speed 500 kHz
Error: JTAG scan chain interrogation failed: all zeroes
Error: Check JTAG interface, timings, target power, etc.
Error: Trying to use configured scan chain anyway...
Error: hi3861.cpu: IR capture error; saw 0x00 not 0x01
Warn : Bypassing JTAG setup events due to errors
Info : Exposing additional CSR 932
Info : Exposing additional CSR 933
Info : Exposing additional CSR 934
Info : Exposing additional CSR 935
Info : Exposing additional CSR 936
Info : Exposing additional CSR 937
Info : Exposing additional CSR 938
Info : Exposing additional CSR 939
Info : Exposing additional CSR 940
Info : Exposing additional CSR 941
Info : Exposing additional CSR 942
Info : Exposing additional CSR 943
Info : Exposing additional CSR 1984
Info : Exposing additional CSR 1985
Info : Exposing additional CSR 1986
Info : Exposing additional CSR 1987
Info : Exposing additional CSR 1988
Info : Exposing additional CSR 1989
Info : Exposing additional CSR 1990
Info : Exposing additional CSR 1991
Info : Exposing additional CSR 1992
Info : Exposing additional CSR 1993
Info : Exposing additional CSR 1994
Info : Exposing additional CSR 1995
Info : Exposing additional CSR 1996
Info : Exposing additional CSR 1997
Info : Exposing additional CSR 1998
Info : Exposing additional CSR 1999
Error: dtmcontrol is 0. Check JTAG connectivity/board power.
Info : Listening on port 3333 for gdb connections
Error: Target not examined yet


E:software_packagehi3861hisparkopenOCDopenocd>

 硬件连接好后,按hi3861 reset复位键,蓝色灯常亮

如下显示表示连接好了。

E:software_packagehi3861hisparkopenOCDopenocd>binopenocd.exe -f interfaceHi-ft2232d-ftdi.cfg -f targetHi3861L-RISCV-JTAG
Open On-Chip Debugger 0.10.0+dev-snapshot (2020-10-23-10:32)
Licensed under GNU GPL v2
For bug reports, read
        http://openocd.org/doc/doxygen/bugs.html
adapter speed: 500 kHz
Info : clock speed 500 kHz
Info : JTAG tap: hi3861.cpu tap/device found: 0x0000076d (mfg: 0x3b6 (HiSilicon Technologies), part: 0x0000, ver: 0x0)
Info : datacount=1 progbufsize=3
Info : Disabling abstract command reads from CSRs.Use Program Buffer Command reads from CSRs.
Info : Exposing additional CSR 932
Info : Exposing additional CSR 933
Info : Exposing additional CSR 934
Info : Exposing additional CSR 935
Info : Exposing additional CSR 936
Info : Exposing additional CSR 937
Info : Exposing additional CSR 938
Info : Exposing additional CSR 939
Info : Exposing additional CSR 940
Info : Exposing additional CSR 941
Info : Exposing additional CSR 942
Info : Exposing additional CSR 943
Info : Exposing additional CSR 1984
Info : Exposing additional CSR 1985
Info : Exposing additional CSR 1986
Info : Exposing additional CSR 1987
Info : Exposing additional CSR 1988
Info : Exposing additional CSR 1989
Info : Exposing additional CSR 1990
Info : Exposing additional CSR 1991
Info : Exposing additional CSR 1992
Info : Exposing additional CSR 1993
Info : Exposing additional CSR 1994
Info : Exposing additional CSR 1995
Info : Exposing additional CSR 1996
Info : Exposing additional CSR 1997
Info : Exposing additional CSR 1998
Info : Exposing additional CSR 1999
Info : Examined RISC-V core; found 1 harts
Info :  hart 0: XLEN=32, misa=0x40901104
Info : Listening on port 3333 for gdb connections
adapter speed: 6000 kHz
load_bin_histreaming
Info : Listening on port 6666 for tcl connections
Info : Listening on port 4444 for telnet connections
欢迎讨论,相互学习。 txwtech@163.com
原文地址:https://www.cnblogs.com/txwtech/p/15106373.html