# ** Error: (vsim-3601) Iteration limit reached at time 0 ns.

# ** Error: (vsim-3601) Iteration limit reached at time 0 ns.
#
run 400us
# ** Error: (vsim-3601) Iteration limit reached at time 0 ns.
#
run 400us
# ** Error: (vsim-3601) Iteration limit reached at time 30 ns.
#
run 400us
# ** Error: (vsim-3601) Iteration limit reached at time 30 ns.
#
run 400us
# ** Error: (vsim-3601) Iteration limit reached at time 30 ns.

still open

原文地址:https://www.cnblogs.com/testset/p/4000200.html