vcs 下使用system verilog调用c函数

c中要加入<svdpi.h>

sv的tb中加入

import "DPI-C" function int funcname();

仿真时,vcs命令行中加入 +vc funcname.c 即可

原文地址:https://www.cnblogs.com/studyforever/p/5169243.html