[Verilog] parameter

parameter和localparam的作用范围均为本模块,区别在于前者可用于在实例化模块的时候进行参数的传递。

用已定义的参数对变量赋值时,按照补码的方式处理,若出现溢出的情况,则截取低位。

原文地址:https://www.cnblogs.com/southernduck/p/3906595.html