SVEditor 1.3.6 发布

SVEditor 1.3.6 支持代码高亮时没有处理 #ifdefs 语句的问题,支持类、接口、模块、任务和函数的代码折叠,修复了一些 bug。

SVEditor 是一个Eclipse的插件,用来编辑 SystemVerilog 文件,支持对关键字进行语法着色和结构化显示。

原文地址:https://www.cnblogs.com/shihao/p/3255393.html