VCD文件系统任务

initial

$dumpfile("myfile.dmp");//仿真信息转存到myfile.dmp文件

initial

$dumpvar;//没有指定变量范围,将所有的信号都转存

initial

$dumpvar(1,top);//转存模块实例top中的变量

         //1表示层次的等级,只转存top下第一层信号

         //只转存top模块中的变量,不转存top调用的模块中的信号

initial

$dumpvar(2,top.m1);//转存top.m1模块下两层的信号

initial

$dumpvar(0,top.m1);//0表示转存top.m1模块下面各个层的所有信号

//启动和停止转存过程

initial

begin

$dumpon;//开启转存过程

#1000000   $dumpoff;//过了1000000单位时间后停止转存过程

end

initial

$dumpall;//生成一个检查点,转存所有的VCD变量的现行值

原文地址:https://www.cnblogs.com/sccdlyc/p/3249169.html