如何调用Altera FPGA的内嵌乘法器

再QuartusII 18.0 中执行入下步骤:
1、Tools -> IP catalog。
2、在右侧的IP catalog中,输入Arithmetic(运算),选择LPM_MULT或者ALTFP_MULT,并给它取个名字,然后点击next,选择要用VHDL代码还是Verilog代码。
3、出现了相应的界面,按提示一步步操作即可。

原文地址:https://www.cnblogs.com/rickyli/p/10803372.html