触发器(trigger)的作用???

1、触发器,英文名trigger,可以简单的理解为:

就相当于是一个事件的触发装置,当满足了一定的事件触发条件后进行相应的操作

例如当复位set信号到来时,我们就让A<=B,这样一个系统就是一个触发器

下面是一个同步复位端的触发器:

 

其功能就是当clk触发条件到来时,把b的值传给a。

2、其实现verilog程序是: 

module test(clk,a,b,set);

input clk,set;
input b;
output reg a;

always @(posedge clk)
  begin
    a<= b;
    if(set)
      a<=1'b0;
  end

endmodule

  

原文地址:https://www.cnblogs.com/qidaiymm/p/4885589.html