zedboard学习记录.3.oled,创建IP

环境:win7 、vivado 2017.4 、zedboard rev.d

首先建立工程。

1.Tools -> Create and Package New IP

2.Create AXI4 Peripheral -> Next

IP的名字 位置 -> Next......Next-> Edit IP -> Finish

3.此时已建立临时工程,在里面修改。

有两个  .v  文件 都是需要修改的

在顶层文件,添加

output wire [5:0] OLED,

.OLED(OLED),

在下层文件中,添加

output reg [5:0] OLED,

always @(*)
begin
  OLED <= slv_reg0[5:0];
end

然后,保存文件。

4.在Project Manager板面 Package IP这里可以看到各种信息。

无误后 Re-package IP

该工程会自动关闭。

5.IP Catalog中就能看到创建的IP了

6.添加IP、设置引脚、Generate Bitstream 、导入SDK。

7.File -> Launch SDK

8.File -> New Application -> Empty Application

9.导入oled驱动文件。

10.下载 完成。

原文地址:https://www.cnblogs.com/protogenoi/p/8796816.html