在Quartus使用TCL脚本文件配制管脚 《本人亲测》

方法一:Import Assignments步骤1:

  使用记事本或类似软件新建一个txt文件(或csv文件),按如下格式编写管脚分配内容(不同的开发版,其内容也不同,本文以我使用的DIY_DE2开发板为范例)。【这种方式格式最为简单】

注意:To和Location两个关键字中间有一个半角逗号。   

图1 pin.txt

步骤2:

  在QII软件中,选择“Assignments -> Import Assignments”。如图所示,导入xxx.txt或者xxx.csv文件即可  

图2 导入pin.txt

步骤3:

  在QII软件中,选择“Assignments -> Pin”标签(或者点击 按钮) ,打开Pin Planner,验证管脚是否分配正确。 

图3 验证管脚是否分配正确

原文地址:https://www.cnblogs.com/prayer521/p/6155756.html