FPGA学习笔记——点亮LED

软件平台:win7(64bit) + Quartus II 9.1 (64-Bit)

硬件平台:东理电子Easy-FPGA Cyclone II EP2C5T114C8N

这个开发板买了很长时间了,买来后一直放那,下面来一个点灯的例子。

1. 实验任务

点亮发光二极管。 通过这个实验, 熟悉并掌握 CPLD/FPGA 开发软件 Quartus II 的使用方法和开发流程以及 Verilog HDL 的编程方法。

2. 实验环境

软件实验环境为 Quartus II 9.1 开发软件。

3. 实验原理

FPGA 器件同单片机一样, 为用户提供了许多灵活的独立的输入/输出 I/O 口 (单元)。 FPGA 每个 I/O 口可以配置为输入、 输出、 双向、 集电极开路和三态 门等各种组态。 作为输出口时, FPGA 的 I/O 口可以吸收最大为 24mA 的电流, 可以直接驱动发光二极管 LED 等器件。 图 1. 1 为 8 个发光二极管硬件原理图。 通过 原理图可知如果要点亮这八个 LED, 所以只要正确分配并锁定引脚后, 在相应 的引脚上输出相应低电平“ 0”, 就可实现点亮该发光二极管的功能。

image

图 1. 1 发光二极管 LED 硬件原理图

4. 实验程序

(1) 利用连续赋值 assign 语句来实现(文件名 led_test.v)

module led_test (led); //模块名 led_testoutput[7:0] led; //定义输出端口assign led=8'b10101010; //输出 0x AAendmodule

(2) 利用过程赋值语句来实现(文件名 led_test_1.v)

module led_test_1(led); //模块名 led_test_1output[7:0]led; //定义输出端口reg[7:0]led;alwaysbeginled= 8'b10101010; //输出 0xAAendendmodule

5. 实验步骤

1) 打开 Quartus II 软件并建立工程

( 1) 通过桌面上的快捷方式打开 Quartus II 9.1 软件, 进入集成开发环境, 软 件界面如图 1. 2 所示。

image

图 1. 2 Quartus II 软件界面

(2)在图 1.2 中选择 FileNew project wizard 来新建一个工程。 新建工程 向导说明对话框如图 1.3 所示

image

图 1.3 新建工程向导说明对话框

(3)在图 1.3 中单击 Next 进入如图 1.4 所示对话框

image

图 1.4 新建工程路径、 名称、 顶层实体指定对话框

(4)在图 1.4 中单击 Next 进入如图 1.5 所示对话框

image

图 1.5 新建工程添加文件对话框

(5) 在图 1.5 中单击 Next 进入如图 1.6 所示对话框。 在该对话框中指定目 标器 件, 这里 我们选择的是 Cyclone II 系 列 的 EP2C5T144C8

image

图 1.6 新建工程器件选择对话框

(6) 指定完器件后, 单击 Next 进入如图 1.7 所示对话框。 本实验利用的集成环 境开发,不使用第三方的 EDA 工具, 采用默认设置。

image

图 1.7 新建工程 EDA 工具设置对话框

(7) 单击图 1.7 中的 Next 进入如图 1.8 所示对话框。 从该对话框中, 可以看到 工程配置信息报告。单击 Finish 完成新工程的建立。

image

图 1.8 新建工程配置信息报告对话框

2) 建立文本编辑文件

( 1) 在 Quartus II 主界面中选择 FileNew 打开新建文件对话框如图 1.9 所 示。

image

( 2) 选择 VerilogHDL File 点击 OK 建立一个空的 VerilogHDL 文件, 将实验程 序清单中的程序输入到文件中, 然后在在 Quartus II 主界面中选择 FileSave As 改名为 led_test.v 并保存。

( 3) 选择图 1.10 工具栏中的image按钮启动编译, 若在编译中发现错误, 则找出 并更正错误, 直到编译成功为止。

image

图 1.10 led_test.v 文件编译对话框

3) 选择器件型号及器件和引脚的其他设置

(1) 选择器件型号

每种型号的 FPGA 芯片的引脚可能都不相同, 因此在进行引脚分配之前都应 选择相应的目 标 FPGA 芯片型号。 这一步在新建工程中已经完成, 这里目 的是初 学者了解, 在 Quartus II 工程创建好后仍然可以选择并修改器件型号。 在 Quartus II 软件主界面下选择 AssignmentsDevice 打开如图 1.11 所示对话框。在该对话 框中指定所需的目 标器件型号。

image

图 1.11 器件选择对话框

(2) 器件和引脚的其他设置

单击图 1.11 中的 Device and Pin Options 打开 Device and Pin Options 对话框, 在该对 话框中选择 Configuration 选项卡, 并按图 1.12 所示进行设置, 即采用串行配置 器件 EPCS1 的主动配置方式。 在 Device and Pin Options 对话框中选择 Unused Pins 选项卡对未使用的引脚按照图 1.13 所示设置为高阻输入。

image

图 1.12 Configuration 设置

image

图 1.13 未用引脚设置

4) 分配 FPGA 引脚

( 1)在 Quartus II 软件主页面下, 选择 AssignmentsPins 或选择工具栏上image按钮打开如图 1.14 对话框。

( 2) 按照开发板各引脚对应关系, 在 To 栏中输入各引脚名称, 在 Location 栏中选择相应的引脚, 最终分配结果如图 1.14 所示。

( 3) 选择 File Close 退出。

image

图 1.14 分配引脚对话框

5) 编译工程项目

在 Quartus II 主页面下, 选择 ProcessingStart Compilation 或点击工具栏上的image按钮启动编译, 直到出现“ Full Compilation Report”对话框, 点击 OK 即可。

6) 波形仿真

由于本次试验比较简单, 波形仿真将在后面实验详细讲解。

7) 下载设计程序到目 标 FPGA

(1) 在 Quartus II 主页面下, 选择 ToolsPragrammer 或点击工具栏上的 将会出现如下图 1.15 所示窗口。

image

图 1.15 配置对话框

(2) 一切准备就绪后点击image开始使用配置文件对 FPGA 进行配置, Progress 框中显示配置进度, 配置进度条为 100%时说明配置成功, 即可观察实验现象。

6. 实验现象

第一个实验终于完成了, 看到实验板上的 8 个 LED 灯间隔点亮, 是不是 特有成就感! 只要动手, 一切都这么简单! 让我们进行下面的实验吧! 以后 的实验将会更精彩!

完。

参考资料:

FPGA不同下载方式的区别【扫盲】以及如何利用AS模式固化程序

原文地址:https://www.cnblogs.com/pengdonglin137/p/4420223.html