关于Modelsim仿真时不能编译`include文件解决办法【Verilog】【Modelsim】

问题描述:

    只要用到include,编译就出错,抱怨Cannot open `include file "params.v",但是在使用params.v文件中定义的参数时,已经在调用文件中使用了“`include params.v”命令,如果在其他文件夹中进行编译,仿真器就会报出“cannot open。。。”或者找不到params.v中定义相应的参数。

解决办法:

  1. 将所有要编译文件放在同一个文件夹中,且编译时在该文件夹中进行编译;
  2. 使用include命令时,使用绝对路径对文件进行引用;
原文地址:https://www.cnblogs.com/nanoty/p/2745463.html