false path

False path

reference and citing source

why set false path

  1. false path实际上是不存在或是假路径,对false path进行时序分析会增加STA的时长而且做的是无用功
  2. set false path就是为了在STA过程中忽略这些路径

what are false paths

  1. 跨时钟域传输(CDC)

    同步器

    两个D触发器组成的同步电路,DFF1数据输入的时钟频率和DFF1的CLK频率可能相同也有可能不同;到达DFF1 D pin的数据可以不满足时序关系,因为即使存在亚稳态在DFF2也会被解决。所以可以将到达DFF1 D pin sinal path看作false path

  2. MUX

    MUX的输出信号取决于MUX select信号的设定,mux能改cover mode1和mode2,select信号就不能设置为固定值;

    Mode 1 : set_case_analysis 0 MUX/SEL
    Mode 2 : set_case_analysis 1 MUX/SEL
    Mode with Mode1 and Mode2 merged together : set_false_path -through MUX/SEL
    
  3. impossible occure path

    如图两个mux级联,sel信号为1时,信号从MUX0/in1到MUX1/in1;sel信号为0时,信号从MUX0/in0到MUX1/in1;所以不存在从MUX0/in0到MUX1/in1的path,所以从MUX0/in0到MUX1/in1的path可以被认作false path .

原文地址:https://www.cnblogs.com/movit/p/15345727.html