xilinx小实验——chipscope指导

chipscope是ISE的内置逻辑分析仪,用来分析FPGA的信号。

1.建立工程,编写代码,配置管脚,编译程序。注意如果要使用chipscope,必须保证程序已经进行了Synthesis编译才可以配置

2.添加.cdc文件,命名为stp.cdc

3.进入chipscope配置界面,DEVICE界面和ICON界面直接next即可

4.Trigger Parameters界面

Number of Input Trigger Ports是需要观察的信号数目,其实这里可以简单的设为1,因为如果要观察两个信号一个4位一个8位,只需要将Trigger Width设置为12即可。当然此处还是推荐设置正确,本文中设为1.

Trigger Width是该信号的位宽。

Match Type是该信号的触发条件方式,改变其触发条件方式可以看到下方的Bit Values和Functions发生了改变。其中Bit Value的主要含义

0:信号为0时触发,或其中某一位为0时触发,1:为1时触发,X:不关心该信号,R:上升沿触发,F:下降沿触发

Functions中就是大于小于等于这些条件时触发。二者组合形成完整的触发条件。

如果观察多个信号,每个信号都要设置,对这些选项进行修改后next

5.Capture Parameters界面

Data Depth:设置采样深度

Sample On Rising/Failing Clock Edag:设置在上升沿/下降沿采样

next

6.Net Connections界面

CLOCK PORT为时钟连接,TRIGGER PORTS为被观察信号连接,若设置了多个被观察信号,则点开TRIGGER PORTS前的小圆圈会有TRIG0,TRIG1...如下图所示。显示为红色则代表信号连接有误。


双击UNIT或CLOCK PORT或TRIGGER PORTS,或点击Modify Connections进入信号连接界面

7.Selet Net界面

Structure/Nets界面为程序中的模块,选择模块可连接其中的信号为被观察信号

下方为其可被观察的信号,灰色的信号不可选(还有一些信号可能被编译器编译掉了,未出现)

Net Selections界面为当前这在配置的信号,时钟或者被观察信号

选择好被观察信号后,点击Make connections即可在右侧看到该信号被添加

点击OK

8.保存配置

点击Return to Project Navigator,在弹出的对话框中选择“是”,回到工程界面

9.点击Analyze Design Using Chipscope编译工程,等待完成

10.进入采样界面

开发板通电并连接电脑,点击左上角Open Cable/Search JTAG Chain图标,连接开发板

得到此时开发板信息,点击OK

11.配置

点击Device,选择器件->Configure...

勾选上方两个选项,点击Select New File选择要下板子的.bit流文件

12.调出配置与波形界面

点开DEV中的TRIGGER Setup和Waveform调出界面

点击右上角图标,修改触发条件(X,0,1,R,F等),再点击右上角图标缩小界面

13.选择观察波形方式

single为只在触发调教满足时采样一次

repetitive为每次触发条件满足便采样一次

只有点击右侧箭头开始采样

14.观察采样信号

原文地址:https://www.cnblogs.com/mingmingruyue99/p/7201997.html