vivado debug

attribute keep : string;
attribute keep of tb_w_cnt             :signal is "true";
  

attribute mark_debug: string ;                 
attribute mark_debug of tb_w_cnt : signal is "true";
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
 (* keep = "true"* ) reg  fval_out_tmp ;
 (* mark_debug = "true"* ) reg  fval_out_tmp ;


 

原文地址:https://www.cnblogs.com/love29850706/p/4756565.html