面试又被BS?数字后端面试要注意这些坑!

基本概念:

  • Timing
    • Setup, Hold, Noise(Crosstalk)基本概念
    • OCV(AOCV), derate, uncertainty(jitter, margin), CPPR, useful skew
    • signoff corner, 各阶段优化corner
    • false path, multi-cycle path
    • timing ECO常用方法,自动化手法
  • Physical
    • Congestion解决方法
    • Latch-up, Antenna effect
  • Lower Power
    • leakge power, internal power, dynamic power
    • clock gating, power gating
    • level shifter, isolation cell, always on cells, body bias

其次,对于项目相关的问题,如果很多基础的东西回答不上来,那么面试官很容易认为你只是跑跑flow,并没有在项目中学到什么东西。常问到的问题可能会有这些:

  • 芯片类型是什么?规模/size有多大?功耗大概有多少?采用何种工艺?
  • 你负责的模块又多少instance和hard macro?clock的数量以及时钟频率是多少?
  • TOP的IO摆放要考虑哪些因素?电源网络是怎样设计的?
  • Timing signoff corner有哪些?
  • 是否有特殊IP,需要何种特殊处理?遇到过哪些问题?
  • clock tree 的结构是怎样的?CTS是采用何种策略?func与test clock如何处理?
  • CTS有哪些约束?比如CTS使用的cell、max skew设置、CTS的corner、max_transition设置、routing layer设置、是否做了preplace等
  • 遇到了哪些congestion以及其解决方法
  • setup、hold fix的脚本实现方法;timing ECO工具用过哪些?主要命令以及其option
  • setup hold互卡现象的原因以及如何解决?
  • noise violation怎么修?Antenna violation怎么修?
  • IR-Drop怎么修?Signal EM violation怎么修?
  • high density区域的hold如何解决?

以上包含了PR面试中出现频率较高的一些问题。当然根据每个人的简历可能会有一些侧重。比如主攻power analysis或者physical verification的人会侧重与这两方面的深入了解,比如power analysis的具体流程,LVS的debug经验,新工艺中的drc如何尽量在PR工具中解决等。

原文地址:https://www.cnblogs.com/lelin/p/12610817.html