Verdi 不加载filelist,load design方法

仿真后调试流程 要自动加载Unified Compile编译的KDB,请使用以下命令 Verdi命令行选项: -simflow 使Verdi及其实用程序能够使用来自synopsys_sim.setup的库映射并从KDB库路径导入设计。 -simBin 指定simv可执行文件的路径。 这确保了VCS和Verdi使用来自synopsys_sim.setup文件的相同数据。 例如: %> verdi -simflow -simBin [] //将FSDB文件导入Verdi %> verdi -simflow -simBin [] -ssf novas.fsdb 指定simv的路径后,您可以使用Verdi nTrace中的Tools -> Run Simulation 菜单命令,直接启动Verdi交互式仿真调试模式。 -simdir 如果要从与VCS工作目录不同的工作目录调用Verdi,请指定库目录的路径。 本文网址:http://www.bnee.net/article/3608103.html
原文地址:https://www.cnblogs.com/jyaray/p/12881820.html