java 面向对象练习题


 (1)编写西游记人物类(XiYouJiRenWu)
其中属性有:身高(height),名字(name),武器(weapon)
方法有:显示名字(printName),显示武器(printWeapon)
(2)在主类的main方法中创建二个对象:zhuBaJie,sunWuKong。并分别为他
们的两个属性(name,weapon)赋值,最后分别调用printName, printWeapon方法
显示二个对象的属性值。


package
com.hanqi; public class XiYouJiRenWu { double height; String name; String weapon; void printName() { System.out.println("这个是"+name); } void printWeapon() { System.out.println(name+"的武器是"+weapon); } }
public static void main(String[] args) {
        // TODO 自动生成的方法存根

        XiYouJiRenWu XiYouJiRenWu1=new XiYouJiRenWu();
        
        XiYouJiRenWu1.name="孙悟空";
        XiYouJiRenWu1.weapon="如意金箍棒";
        
        XiYouJiRenWu1.printName();
        XiYouJiRenWu1.printWeapon();
        XiYouJiRenWu XiYouJiRenWu2=new XiYouJiRenWu();
        
        XiYouJiRenWu2.name="猪八戒";
        XiYouJiRenWu2.weapon="九齿钉耙";
        
        XiYouJiRenWu2.printName();
        XiYouJiRenWu2.printWeapon();
    }

原文地址:https://www.cnblogs.com/jskbk/p/5497555.html