Modelsim中观测代码覆盖率

摘要:本文通过简单的实验,介绍了基于modelsim工程代码覆盖率的观测的方法。

众所周知,modelsim是FPGA学习过程中一个必不可少的软件。而作为使用者的我们很可能只会使用其中添加代码进行仿真看波形的功能,

今天我们就通过一个简单的实验来学习在modelsim中观测代码覆盖率的情况。

第一步:打开modelsim,新建实验工程,并添加代码到工程中。

clip_image002

如图,已经添加好了实验代码,并通过编译已经完成了代码语法的检查。

第二步:重新设置编译特性。

clip_image004

如图所示:选中所有代码,点击右键选择Complie—>Complie Propertises选项。

clip_image006

在Project Compiler Setting选项中点击选择Coverage栏。

clip_image008

在方框前打勾,选中所有选项。注意:clip_image010这两个选项只能有一个被选中,

这是软件的规定,选择其中一个即可。选择完毕后重新对代码进行编译,当代码后面全部出现了绿色对号即表示编译成功。

第三步:进入覆盖率观测界面。

clip_image012选择Library栏,并在work栏下找到激励文件

clip_image014

右键点击激励选择Simulate with Coverage。

clip_image016

稍等片刻,程序会进入到代码覆盖率观测的界面。

clip_image018

第四步:进行仿真命令和方针时间输入,进行仿真并观测代码覆盖情况。

clip_image020

在命令窗口中输入 run 10ns,然后点击回车,

clip_image022

在代码覆盖栏目中可以看到

clip_image024

也可以直接点击其中的实例,从而观测相应实例里面的各个语句中的拍打次数。

clip_image026

其中的2即表示,方针过程中,执行 assign o_dout = i_din; 两次。

通过这些可以分析我们代码中所设计的激励文件是否可以使设计文件中的所有语句都能执行。

注意:由于软件版本的不同,可能在某些界面上不太一样,但是大致的方法是一样的。

本文来自网友的文章加以修改。

By:冰风溪谷

原文地址:https://www.cnblogs.com/icelyb24/p/2194804.html