关于quartus ii软件中注释乱码问题的解决方法

乱码现象:

<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

 
解决办法:

 

打开文件所在工程找到该verilog文件(后缀名是.v),使用记事本打开,这时你会看到注释好好的没乱码,很高兴是不,不用着急。接下来点击文件再另存为,选择编码:UTF-8点保存,这时会提示是否替换,点击是。这时再打开文件就不会再出现乱码了,赶快去试试吧!
 

成功解决:

 

<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

 

原文地址:https://www.cnblogs.com/huanzxj/p/4653604.html