Modelsim覆盖率

 

 

步骤:

 

1.compile选项 => compile options  Covrage setting  (或者可以点击选中文件,编辑properties,在coverage中选择要实现的覆盖选项)

2.对所设计文件进行编译

3.最关键的一步,仿真,选择simulate,选中文件,一定要在others选项中选择enable code coverage.

4.OK ,总算看到我望眼欲穿的覆盖率了,

英文原文;

Enabling code coverage is a two-step process:

    1. Use the -cover argument to vcom or vlog when you compile your design. This argument

       tells ModelSim which coverage statistics to collect. For example:

           vlog top.v proc.v cache.v -cover bcesxf

       Each character after the -cover argument identifies a type of coverage statistic: "b"

       indicates branch, "c" indicates condition, "e" indicates expression, "s" indicates

       statement, "t" indicates 2-transition toggle, "x" indicates extended 6-transition toggle

       coverage (t and x are mutually exclusive), and “f” indicates Finite State Machine

       coverage. See Enabling Toggle Coverage for details on two other methods for enabling

       toggle coverage.

You can use graphic interface to perform the same task. Select Compile > Compile

Options and select the Coverage tab. Alternatively, if you are using a project, right-click

on a selected design object (or objects) and select Properties.

2. Use the -coverage argument to vsim when you simulate your design. For examp

     vsim -coverage work.top

Or, use the graphic interface. Select Simulate > Start Simulation and select the design

unit to be simulated in the Design tab. Then select the Others tab and check Enable code

coverage box as shown below.

 

对R80515进行集体编译命令:

do tools/mti/ee_macros/compile.do

R80515 算法结构仿真覆盖结果如下图:

 

 

 

 

PS:总算给我一点点的信心,发现自己还有那么一点点可救药的地方,呵呵终于搞定一个了覆盖率,这几天把我打击的都不行了,做什么什么不对,郁闷死了,自己哪怕是亲手做出一点点东西,也是满有成就感的嘛,呵呵,通过这件事有几点体会:

1.一定要学会看user manual,虽然英文的,但是只要耐下心来看,收获肯定是很大的。

2.你离成功也许只有一点点,一定坚持再坚持那么一点点,今天中午的时候真的是快撑不住了,差一点就想放弃了。多动手,说不准就能碰出来呢。

原文地址:https://www.cnblogs.com/hfyfpga/p/4285249.html