modelsim打开.wlf文件的方法(原创)

运行vsim -c -l vsim.log -wlf vsim.wlf work.tb work.glbl之后,会在不启动modelsim的情况下完成仿真,并且会把仿真波形记录下来(以.wlf文件格式)

这时候,如果想看仿真的波形文件,打开modelsim的GUI界面,执行do wave.do命令即可实现,这样可以节省仿真时间

①run_sim.do文件中加入log -r /* 

②do e:/fyh/my_sim/srs_srio/sim/wave.do

原文地址:https://www.cnblogs.com/hfyfpga/p/4282215.html