UVM:8.4.3 用factory 机制创建实例的接口

1.create_object_by_name,依据类名字创建object,原型:


一般仅仅用第一个:



2.create_object_by_type。依据类型创建一个object,原型:


一般仅仅用第一个:



3.create_component_by_name,依据类名创建一个component,原型:


1)第一个类名。

2)第二个父节点全名。

3)第三个新的component名字。

4)第四个父节点指针。

4个都要用:


一般在component 的new 或者build_phase 中使用。假设在object 中。非常难确认parent;假设在connect_phase 之后调用,因为UVM 要求component 在build_phase 及之前例化完成,所以会失败。

5)uvm_component 内部有一个函数是create_component,就是调用此:


仅仅有两个參数。


4.create_component_by_type。依据类型创建一个component,原型为:


4个參数全用:


原文地址:https://www.cnblogs.com/gcczhongduan/p/5378042.html